2023/05/03 更新

写真a

キシネ ケイジ
岸根 桂路
KISHINE Keiji
所属
先端工学研究院
部局
工学部 電子システム工学科
職名
教授
外部リンク

学位

  • 博士(情報学) ( 2006年3月   京都大学 )

研究キーワード

  • 集積回路設計 

経歴

  • 滋賀県立大学   工学部 電子システム工学科   教授

    2016年4月 - 現在

  • 滋賀県立大学   工学部 電子システム工学科   准教授

    2008年4月 - 2016年3月

  • 日本電信電話株式会社   課長

    2007年4月 - 2008年3月

      詳細を見る

    国名:日本国

  • 日本電信電話株式会社   主任研究員

    2004年10月 - 2007年3月

      詳細を見る

    国名:日本国

所属学協会

  • 日本物理学会

    2008年4月 - 現在

  • 電気学会

    2008年4月 - 現在

  • 電子情報通信学会

    2008年4月 - 現在

  • IEEE

    2008年4月 - 現在

研究シーズ

  • 応用システムとハードウェアの最適融合

論文

  • Burst-mode driver circuit with on-chip bias tee for in-Vehicle optical networks 査読 国際誌

    Daisuke Ito, Yasuhuiro Takahashi, Makoto Nakamura, Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    2022 International Conference on Analog VLSI Circuits (AVIC)   55 - 69   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:AVIC   参加形態:共同(副担当)  

  • A Fine-Tuning Phase Shifter with Vector Synthesizer Using 65-nm CMOS for Beamforming in 24-GHz Band 査読 国際誌

    Inoue M., Nakashioya S., Inoue T., Tsuchiya A., Kishine K.

    ICECS 2022 - 29th IEEE International Conference on Electronics, Circuits and Systems, Proceedings ICECS 2022 - 29th IEEE International Conference on Electronics, Circuits and Systems, Proceedings   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE   参加形態:共同(副担当)  

    Recently, radio-wave sensors have been utilized in automotive radar and biological sensing applications. Although beamforming using an array antenna is effective method for changing the radiation directivity, the phase-shift error causes degradation of the directivity significantly. In this paper, we propose a fine-tuning phase shifter with a vector synthesizer, which enables to control the phase-shift amount continuously in 24-GHz band. From the measurement results of the fabricated phase shifter using 65-nm CMOS technology, the variable phase-shift amount over a range of 360◦ is obtained continuously. In addition, the estimated radiation patterns show that the phase-shift and amplitude errors of the fabricated phase shifter are acceptable.

  • Implementation of High-Speed LSTM with Parallel and Pipelined Algorithm in Small-Scale FPGA 査読 国際誌

    Ukyo Yoshimura, Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine

    International Conference on Electronics, Information, and Communication   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元: ICEIC2021, IEIE/IEEE  

  • Capacitor Under Pad for Small Area Integration of High-SpeedSignal-to-Diff erential Amplifi er 査読 国際誌

    A. Tsuchiya, T. Inoue, K. Kishine, Y. Takahashi, D. Ito, and M. Nakamura

    International Conference on Electronics, Information, andCommunication (ICEIC)   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE   参加形態:共同(副担当)  

    DOI: 10.3390/electronics11060854

  • A Burst-Mode TIA with Adaptive Response and Stable Operation for in-Vehicle Optical Networks 査読 国際誌

    T. Inoue, A. Tsuchiya, K. Kishine, D. Ito, Y. Takahashi, and M. Nakamura

    IEEE 2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS)   2021年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE   参加形態:共同(副担当)  

  • IDynamic Memory Access Control for Accelerating FPGA-based Image Processing 査読 国際誌

    K. Nishiguchi, T. Inoue, R. Yamazaki, K. Ogohara, A. Tsuchiya, and K. Kishine

    IEIE IEIE Transactions on Smart Processing and Computing 10 (5) 416 ~ 423   10 ( 5 )   416 - 423   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:EIE IEIE Transactions on Smart Processing and Computin   参加形態:共同(副担当)  

  • 5-Gb/s PAM4 Transmitter IC Using Compensation Circuit in an 180-nm CMOS 査読 国際誌

    Yudai Ichii, Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine

    International Conference on Electronics, Information, and Communication   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元: ICEIC2021, IEIE/IEEE  

  • Processing Time Reduction for JPEG CompressionUsing Pixel Array Conversion 査読 国際誌

    Rei Yamazaki, Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    International SoC Design Conference (ISOCC2020)   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   参加形態:共同(主担当)  

  • Design method for active-shunt-feedback type inductorless low-noise amplifiers in 65-nm CMOS 査読 国際誌

    Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    Journal of Semiconductor Technology and Science   20 ( 2 )   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Semiconductor Technology and Science   参加形態:共同(副担当)  

  • Optimization Technique of Memory Traffic for FPGA-Based Image Processing System 査読 国際誌

    Kenta Nishiguchi, Toshiyuki Inoue, Akira Tsuchiya, Kazunori Ogohara, Keiji Kishine

    International SoC Design Conference (ISOCC2019)   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   参加形態:共同(主担当)  

  • Suitable-Compensation Circuit Design for a PAM4 Transmitter in 180-nm CMOS 査読 国際誌

    Yudai Ichii, Ryosuke Noguchi, Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine

    International SoC Design Conference (ISOCC2019)   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   参加形態:共同(主担当)  

  • Frequency Discriminator Using a Simple AD Converter for Interface Systems 査読 国際誌

    Sanshiro Kimura, Atsuto Imajo, Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine

    International SoC Design Conference (ISOCC2019)   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   参加形態:共同(主担当)  

  • Design of Crosstalk Noise Filter for Multi-Channel Transimpedance Amplifier 査読 国際誌

    Shinya Tanimura, Toshiyuki Inoue, Ryosuke Noguchi, Akira Tsuchiya, and Keiji Kishine

    32nd IEEE INTERNATIONAL SYSTEM-ON-CHIP CONFERENCE (SOCC 2019)   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE   参加形態:共同(副担当)  

  • FPGA-based binary labeling signal transmission system 査読 国際誌

    Inoue T., Nomura K., Noguchi R., Koda N., Tsuchiya A., Kishine K.

    Journal of Semiconductor Technology and Science   19 ( 3 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Semiconductor Technology and Science   参加形態:共同(副担当)  

  • A 25-Gb/s Low-Power Clock and Data Recovery with an ActiveStabilizing CML-CMOS Conversion 査読 国際誌

    Ryosuke Noguchi, Atsuto Imajo, Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    The 25th IEEE International Conference on Electronics Circuits and Systems (ICECS 2018)   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE   参加形態:共同(主担当)  

  • Low-Power and High-Linearity Inductorless Low-Noise Amplifiers with Active-Shunt-Feedback  in 65-nm CMOS Technology 査読 国際誌

    Toshiyuki Inoue, Ryosuke Noguchi, Akira Tsuchiya, Keiji Kishine, and Hidetoshi Onodera

    The 61st IEEE International Midwest Symposium on Circuits and Systems (MWSCAS2018)   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE   参加形態:共同(副担当)  

  • A 25-Gb/s 13 mW Clock and Data Recovery Using C²MOS D-Flip-Flop in 65-nm CMOS 査読 国際誌

    yosuke Noguchi, Kosuke Furuichi, Hiromu Uemura, Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine,  Hiroaki Katsurai, Shinsuke Nakamoto, and Makoto Nakamura

    VLSI Design, Automation and Test (VLSI-DAT2018)   1 - 4   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE VLSI Design, Automation and Test (VLSI-DAT2018)   参加形態:共同(主担当)  

  •  10-Gb/s Data Frame Generation Circuit with Frequency Modulation in 65-nm CMOS 査読 国際誌

    Hiromu Uemura, Kosuke Furuichi, Natsuyuki Koda, Hiromi Inaba, and Keiji Kishine

     IEIE JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE(IEIE JSTS)   18 ( 2 )   238 - 245   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元: IEIE   参加形態:共同(主担当)  

  • Simple and Low Power Highly Sensitive Frequency Demodulator Circuit for 10-Gb/s Transmission System  for Labeling Signal 査読 国際誌

    Natsuyuki Koda, Kosuke Furuichi, Hiromu Uemura, Hiromi Inaba, and Keiji Kishine

    IEIE JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE(IEIE JSTS)   17 ( 6 )   733 - 740   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEIE   参加形態:共同(主担当)  

  • Design of High-linearity Delay Detection Circuit for 10-Gb/s Communication System in 65-nm CMOS 査読 国際誌

    Kosuke Furuichi, Hiromu Uemura, Natsuyuki Koda, Hiromi Inaba, and Keiji Kishine

    IEIE JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE(IEIE JSTS)   17 ( 6 )   742 - 749   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEIE   参加形態:共同(主担当)  

  • FPGA-Based Transceiver Circuit for Labeling Signal Transmission System 査読 国際誌

    Kohei Nomura, Natsuyuki Koda, Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    International SoC Design Conference (ISOCC2017)   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  • Design Method for Inductorless Low-Noise Amplifiers with Active Shunt-Feedback in 65-nm CMOS 査読 国際誌

    Toshiyuki Inoue, Akira Tsuchiya, Keiji Kishine, and Makoto Nakamura

    International SoC Design Conference (ISOCC2017)   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  • Compact Implementation IIR filter in FPGA for Noise Reduction of Sensor Signal 査読 国際誌

    Koki Arauchi, Shohei Maki, Toshiyuki Inoue, Akira Tsuchiya, and Keiji Kishine

    International SoC Design Conference (ISOCC2017)   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  • 25-Gb/s Clock and Data Recovery IC Using Latch Load Combined with CML Buffer Circuit for Delay  Generation with 65-nm CMOS 査読 国際誌

    Tomonori Tanaka, Kosuke Furuichi, Hiromu Uemura, Ryosuke Noguchi, Natsuyuki Koda, Koki Arauchi,  Daichi Omoto, Hiromi Inaba, Shinsuke Nakano, Masafumi Nogawa, Hideyuki Nosaka and Keiji Kishine

    IEEE International Symposium on Circuits and Systems  (ISCAS2017)   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE CAS  

  • Cross Current Suppression Control for Parallel Operation System Contructed with Two Electric Power  Converters under Different Output 査読 国際誌

    Ryota Fujisawa, Hiromi Inaba, Keiji Kishine, Keisuke Ishikura, and Kazuki Ikebata

    The 19th International Conference on Electrical Machines and Systems (ICEMS2016)   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ICEMS 2016  

  • 36-Gb/s CDR IC using simple passive loop filter combined with a passive load in phase detector 査読 国際誌

    Kosuke Furuichi, Hiromu Uemura, Natsuyuki Koda, Hiromi Inaba, and Keiji Kishine

    International SoC Design Conference (ISOCC2016)   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  • Design of High-Linearity Delay Detection Circuit for 10-Gb/s Communication System in 65-nm CMOS 査読 国際誌

    Kosuke Furuichi, Hiromu Uemura, Natsuyuki Koda, Hiromi Inaba, and Keiji Kishine

    International SoC Design Conference (ISOCC2016)   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  • Proposal for sensitive frequency demodulator for 10-Gb/s transmission labeling signal system 査読 国際誌

    Natsuyuki Koda, Kosuke Furuichi, Hiromu Uemura, Hiromi Inaba, and Keiji Kishine

    International SoC Design Conference (ISOCC2016)   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   参加形態:共同(主担当)  

  • Simple Routing Control System for 10 Gb/s Data Transmission Using a Frequency Modulation Technique 査読 国際誌

    Daichi Omoto, Keiji Kishine, Hiromi Inaba, and Tomoki Tanaka

    IEIE transactions on smart processing and computing   5 ( 3 )   207 - 213   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEIE SPC   参加形態:共同(主担当)  

  • Low-jitter design method based on ωn-domain jitter analysis for 10 Gbit/s clock 査読

    K. Kishine, H. Inaba, Makoto Nakamura, Mitsuo Nakamura, Y. Ohtomo and H. Onodera

    IET Electron. Letters   45 ( 16 )   800 - 804   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IET   参加形態:共同(主担当)  

  • A Jitter Suppression Technique for a 2.48832Gb/s Clock and Data Recovery Circuit 査読

    Kiyoshi Ishii, Keiji Kishine and Haruhiko Ichino

    Trans. Circuits Syst. II   49 ( 4 )   266 - 272   2002年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE   参加形態:共同(主担当)  

  • Loop-Parameter Optimization of a PLL for a Low-Jitter 2.5 Gb/s One-chip Optical Receiver IC with 1:8 DEMUX 査読

    Keiji Kishine and Haruhiko Ichino

    IEEE J. Solid-State Circuits   37 ( 1 )   38 - 50   2002年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE   参加形態:共同(主担当)  

  • Techniques for Widening Lock and Pull-in Ranges and Suppressing Jitter in Clock and Data Recovery ICs -Duplicated Loop Control CDR- 査読

    Keiji Kishine, Noboru Ishihara and Haruhiko Ichino,

    IEICE Trans. Electron.,   E-84-C ( 4 )   460 - 469   2001年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:電子情報通信学会   参加形態:共同(主担当)  

  • A jitter suppression technique for a clock multiplier 査読

    Kiyoshi Ishii, Keiji Kishine and Haruhiko Ichino,

    IEICE Trans. Electron.,   E-83-C ( 4 )   647 - 651   2000年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:電子情報通信学会   参加形態:共同(主担当)  

  • A 2.5-Gb/s Clock and Data Recovery IC with Tunable Jitter Characteristics for Use in LANs and WANs(共著) 査読

    Keiji Kishine, Noboru Ishihara, Ken-ichi Takiguchi and Haruhiko Ichino

    IEEE J. Solid State Circuits   34 ( 6 )   805 - 812   1999年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE   参加形態:共同(主担当)  

  • 2.5-Gb/s clock and data recovery circuit IC using novel duplicated PLL Technique 査読

    Keiji Kishine, Ken-ichi Takiguchi and Haruhiko Ichino

    IEE Electron. Letters   35 ( 5 )   360 - 361   1999年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEE (IET)   参加形態:共同(主担当)  

    DOI: Keiji Kishine, Ken-ichi Takiguchi and Haruhiko Ichino

  • Low-Power 2.5Gb/s Si-Bipolar IC Chipset for Optical Receivers and Transmitters Using Low-Voltage and Adjustment-Free Circuit Techniques 査読

    Masaki Hirose, Keiji Kishine, Haruhiko Ichino and Noboru Ishihara

    IEICE Trans. Electron.   E-83-C ( 4 )   647 - 651   1999年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:電子情報通信学会   参加形態:共同(主担当)  

  • Jitter-suppressed low-power 2.5-Gb/s clock and data recovery IC without high-Q components(共著) 査読

    Keiji Kishine, Noboru Ishihara and Haruhiko Ichino

    IEE Electron. Letters   33 ( 18 )   1545 - 1547   1997年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEE (現IET)   参加形態:共同(主担当)  

  • A High-Speed, Low-Power Bipolar Digital Circuit for Gb/s LSI's: Current Mirror Control Logic (共著) 査読

    Keiji Kishine, Yoshiji Kobayashi and Haruhiko Ichino

    IEEE J. Solid State Circuits   32 ( 2 )   215 - 221   1997年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE   参加形態:共同(主担当)  

  • Energy Losses of 12-32 keV H+, He+ and N+ Ions at Glancing Angle Scattering from Clean Surfaces of Silicon Crystals 査読

    Kazumasa Narumi, Yoshikazu Fujii, Keiji Kishine, Shinsuke Fujiwara, Kenji Kimura and Michi-hiko Mannami

    Journal of the Physical Society of Japan   62 ( 5 )   1603 - 1611   1993年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本物理学会   参加形態:共同(主担当)  

  • Contribution of inner shell electrons to position-dependent stopping powers of a crystal surface 査読

    Kazumasa Narumi, Yoshikazu Fujii, Keiji Kishine, Hiroshi Kurakake, Kenji Kimura and Michi-hiko Mannami

    Surface science   293 ( 3 )   152 - 159   1993年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Elsevier Science Publishers  

  • Energy loss of 0.7-MeV He ions due to the dynamic response of surface electrons 査読

    Yoshikazu Fujii, Keiji Kishine, Kazumasa Narumi, Kenji Kimura and Michi-hiko Mannami

    Phys. Rev. A   47   2047 - 2054   1993年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:American Physical Society   参加形態:共同(主担当)  

  • Dynamic response of surface electrons to energetic ions at glancing angle scattering from crystal surfaces 査読

    Yoshikazu Fujii, Kazumasa Narumi , Keiji Kishine, Kenji Kimura and Michi-hiko Mannami

    Nuclear Instruments and Methods in Physics Research Sec. B   67 ( 41278 )   82 - 86   1992年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nuclear Instruments and Methods in Physics Research   参加形態:共同(主担当)  

▼全件表示

共同研究・競争的資金等の研究課題

  • 超高速集積回路設計手法の研究

    2008年4月 - 現在

    先端技術開発研究  集積回路 超高速 超低電力 通信システム

講演・口頭発表等

  • 静電容量を利用した液滴の接触角推定における対応範囲拡大の検討

    小谷口朋大, 土谷亮, 井上敏之,岸根桂路

    集積回路研究会(ICD)  2020年11月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • マイクロ波センサ回転制御による広範囲検出手法の確立

    柏木雅哉, 吉村侑恭, 井上敏之,岸根桂路,土谷亮

    電子情報通信学会ソサエティ大会  2020年9月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • シングルチャネルマルチポート制御システムにおける送受信回路デジタル化の検討

    今城篤人・井上敏之・木村山紫郎・西口健太・土谷 亮・岸根桂路

    電子情報通信学会総合大会  2020年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • 非接触心拍計測システムおけるディジタルフィルタ回路規模削減手法の検討

    吉村侑恭・井上敏之・土谷 亮・岸根桂路

    電子情報通信学会総合大会  2020年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • ブロック方式におけるモデル化誤差を考慮した配線ブロック数と面積の関係

    岩田智成・土谷 亮・谷村信哉・井上俊之・岸根桂路

    電子情報通信学会総合大会  2020年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • RGC-TIAにおける多層インダクタによる面積効率向上効果の評価

    田中大夢,土谷亮,谷村信哉,井上敏之,岸根桂路

    電子情報通信学会総合大会  2020年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • RGC-TIAの利得が帯域と入力換算雑音の関係に与える影響

    中田吉弥, 土谷亮, 谷村信哉, 井上敏之, 岸根桂路

    電子情報通信学会ソサイエティ大会  2019年9月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  • マイクロ波センサ直交信号を用いたFPGAによるデータ取得時間短縮手法の検討

    吉村侑恭,西口健太,井上敏之,土谷亮,岸根桂路

    電子情報通信学会総合大会  2019年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • シングルチャネルシステム実現に向けた周波数識別回路の検討

    今城篤人,野口凌輔,井上敏之,土谷亮,岸根桂路

    電子情報通信学会総合大会  2019年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • 多チャンネル実装トランスインピーダンスアンプにおける電源ノイズ削減フィルタの設計手法

    谷村信哉,土谷亮,野口凌輔,井上敏之,岸根桂路

    電子情報通信学会総合大会  2019年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • キャリア周波数識別の高分解能化を目指したディスチャージ遅延回路の検討

    木村山紫郎,井上敏之,野口凌輔,土谷亮,岸根桂路

    電気関係学会関西連合大会  2018年12月  電気関係学会関西連合大会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

  • 脈拍センサを用いた呼吸統制下における自律神経状態推定システムの検討

    牧将平,井上敏之,土谷亮,岸根桂路

    電気関係学会関西連合大会  2018年12月  電気関係学会関西連合大会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

  • 入出力インタフェースを実装したFPGAリアルタイム画像処理システムの構築

    西口健太,井上敏之,小郷原一智,土谷亮,岸根桂路

    電子情報通信学会ソサイエティ大会  2018年9月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川  

  • 群遅延偏差の線形近似による多段構成TIAのジッタ低減

    谷村信哉,土谷亮,井上敏之,岸根桂路

    LSIとシステムのワークショップ  2018年5月  LSIとシステムのワークショップ

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • ウェアラブルセンサと簡易無線モジュールによる筋疲労計測システムの検討

    水野佑哉,牧将平,荒内航貴,井上敏之,土谷亮,岸根桂路

    電子情報通信学会総合大会  2018年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • 100-Gb/s低電力光通信トランシーバ用CML-CMOSレベル変換回路の検討

    野口凌輔,香田夏幸,野村幸平,土谷亮,井上敏之,岸根桂路

    電子情報通信学会総合大会  2018年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京  

  • XBeeと脈波センサを用いた自律神経機能検知システムの検討

    牧将平,荒内航貴,水野佑哉,井上敏之,土谷亮,岸根桂路

    電気学会電子回路研究会  2018年3月  電気学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川  

  • 野外における2.4Ghz帯無線モジュールの通信可能距離の実測評価

    高杉陽介,土谷亮,井上敏之,岸根桂路

    ICD/MW共催研究会  2018年3月  ICD/MW共催研究会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:滋賀  

  • XBeeと複数ウェアラブルセンサによる高齢者見守り検知システムの検討

    牧将平,荒内航貴,森本安紀,土谷亮,井上敏之,岸根桂路

    電気関係学会連合大会  2017年11月  電気関係学会連合大会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

  • FPGAを用いたFIRフィルタによる脈拍センサ信号の雑音除去

    荒内航貴,森本安紀,作田健,植村宙夢,香田夏幸,岸根桂路

    電子情報通信学会総合大会  2017年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学  

  • FPGAによるフレーム信号変調システムの検討

    野村幸平,植村宙夢,古市康祐,岸根桂路

    電子情報通信学会総合大会  2017年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学  

  • ラべリング信号伝送システムにおける受信回路高速化の検討

    香田夏幸,古市康祐,植村宙夢,荒内航貴,野村幸平,岸根桂路

    電子情報通信学会総合大会  2017年3月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学  

  • FPGAを用いた変調回路に関する検討

    野村幸平,植村宙夢,古市康祐,岸根桂路

    電気関係学会関西連合大会  2016年11月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

  • エンファシス回路によるハーフレート識別回路の広帯域化に関する検討

    寺本慎也,古市康祐,植村宙夢,岸根桂路

    電気関係学会関西連合大会  2016年11月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

  • FPGAを用いたFIRフィルタによる生体センサ信号の雑音除去の検討

    荒内航貴,森本安紀,作田建,岸根桂路

    電気関係学会関西連合大会  2016年11月  電子情報通信学会

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪  

▼全件表示

担当経験のある科目(授業)

  • 電子システム工学実験Ⅰ

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 集積回路設計基礎

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 情報通信工学

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 基礎電気電子回路

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 電子システム工学セミナー

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 電子システム工学実験Ⅳ

    2012年4月 - 2013年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 集積システム設計論

    2012年4月 - 2013年3月 機関名:滋賀県立大学

  • 基礎電気電子回路

    2009年4月 - 2010年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 電子システム工学セミナー

    2008年4月 - 2009年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

  • 基礎電気電子回路

    2008年4月 - 2009年3月 機関名:滋賀県立大学

     詳細を見る

    科目区分:学部専門科目 

▼全件表示